STM32F103C8T6 не работает! - Он не читается, он не записывает, он не идентифицируется.

DMD
Пн 27 марта 2017 г. 9:55 вечера
Привет, ребята.

Я купил свой первый STM32F103C8T6 из Китая и отправился тестировать.

Я использовал CP2102.
Я подключил RX на PA9 и TX на PA10.
GND на GND от STM32 и Power прошел через USB.

Я скачал библиотеки и все остальное, что мне было нужно.
Я обновил свой Arduino IDE, изменил Boot0 на 1 и попытался сжечь.

Я попытался изменить порты RX / TX, попытался изменить ботинки, попробовал все.
Всегда нажимайте сброс на доске.

Не в состоянии, я попытался положить загрузчик.
В GitHub я скачал generic_boot20_pc13.корзин и следовал процедурам.

Ничего не сработало.

Я скачал демонстратора GUI с самого STM, и он не может идентифицировать какое -либо устройство.

Я проверил несколько скоростей соединения (9600, 57600, 115200), и ошибка всегда была такой же (с помощью STM32Flash).

"
C: \...\ Stm32flash.exe -v -w generic_boot20_pc13.Bin Com11
STM32Flash 0.4

Http: // stm32flash.Googlecode.ком/

Использование анализатора: необработанный двоичный файл
Интерфейс serial_w32: 57600 8e1
Не удалось.
"

Я так старался, что заблудился.
Может кто -нибудь мне помочь?

Забыл шаг?
Есть ли альтернативы?

Я с нетерпением жду предложений.

Спасибо.

Ахулл
Пн 27 марта 2017 г. 11:56 вечера
Является ли рассматриваемая доска, один из которых показан на странице, связанной ниже, если да, какой из них?
http: // wiki.STM32duino.com/index.PHP?тип ... 103_boards

Стивестронг
Вт 28 марта 2017 г. 8:57 утра
Вы установили Boot1 на «1» при программировании загрузчика?

Эдогальдо
Вт 28 марта 2017 г. 11:18 утра
Может, это может помочь: http: // www.STM32duino.com/viewtopic.PHP?T = 747#P8336

Лучший, e.

DMD
Вт 28 марта 2017 г. 13:44
Ахулл написал:Является ли рассматриваемая доска, один из которых показан на странице, связанной ниже, если да, какой из них?
http: // wiki.STM32duino.com/index.PHP?тип ... 103_boards

DMD
Вт 28 марта 2017 г. 13:46
Стивестронг написал:Вы установили Boot1 на «1» при программировании загрузчика?

DMD
Вторник 28 марта 2017 г. 14:01
Эдогальдо написал:Может, это может помочь: http: // www.STM32duino.com/viewtopic.PHP?T = 747#P8336

Лучший, e.

Эдогальдо
Вт 28 марта 2017 г. 14:35
Вы уверены, что правильно установили драйверы CP2102 и выбрали соответствующий COM -порт?
Если не повезло со всеми выше попытками, есть вероятность, что ваш совет/MCU (или CP2102) дефектный..

DMD
Вт 28 марта 2017 г. 14:58
Эдогальдо написал:Вы уверены, что правильно установили драйверы CP2102 и выбрали соответствующий COM -порт?
Если не повезло со всеми выше попытками, есть вероятность, что ваш совет/MCU (или CP2102) дефектный..

Стивестронг
Вт 28 марта 2017 г. 15:08
Хорошо, так что у вас есть общая плата C8 (синяя таблетка), справа?
У вас есть ссылка, где вы купили доску?

Установите Boot1 на «0» (перемычка в исходном положении) и Boot0 на «1» (перемычка в другой, чем в исходном положении). Не меняйте эту конфигурацию!
Теперь подключите GND/PA9/PA10 к GND/TX/RX платы CP2101, подайте питание на USB (или нажмите сброс) и попробуйте загрузить.
Светодиодный свет?
Если нет, проверьте 3.Напряжение питания 3 В, возможно, встроенный регулятор дефект. Или, может быть, линии USB -соединения не припаяны правильно, так что на регуляторе напряжения не присутствует 5 В, это происходит часто.

Используйте утилиту STM32Flash из репозитория arduino_stm32!
Если он не работает, также проверяйте снова с изменением RX/TX.

Эдогальдо
Вторник 28 марта 2017 г. 15:57
DMD написал:Эдогальдо написал:Вы уверены, что правильно установили драйверы CP2102 и выбрали соответствующий COM -порт?
Если не повезло со всеми выше попытками, есть вероятность, что ваш совет/MCU (или CP2102) дефектный..

Ахулл
Вт 28 марта 2017 г. 22:26
Также тщательно изучите пайку как на USB -разъема, так и на пакете STM32F103XX с увеличением. Ищите всплески припоя и плохую пайку. Мне пришлось подчеркнуть пайку на ряде этих дешевых кабанов STM32. Есть причина, по которой они дешевы. Контроль качества довольно минимально. :рулон:

AG123
Ср 29 марта 2017 г. 6:40
ИМХО Попробуйте загрузить плату и посмотреть, идентично ли она подключена (включая светодиоды и кнопки) как «клон клен» и имеет предварительно установленного * Maple Bootloader * как эти «кленовые клоны»

Если это «клон-клон», попробуйте нажать сброс, и светодиод «пользователя» должен моргнуть 6 раз в быстрой последовательности (режим DFU), это также «доказательства», что загрузочный загрузчик Maple предварительно установлен и работает должным образом

Кроме того, когда вы подключаетесь к USB, вы должны увидеть 1EAF: 0003 или 1EAF: 0004, в диспетчере устройств Windows
http: // www.STM32duino.com/viewtopic.PHP ... = 10#p25542
в Linux LSUSB покажет то же самое

Если все это правда, может быть возможно установить эскиз через DFU и DFU-UTIL при условии, что стеки драйверов правильно установлены (Windows)
DFU-UTIL в основном просто работает в * linux *, и его также можно использовать извлечь заранее установленные изображения/эскиз из SOC

Я думаю, что начинается с доски, которая работает * E.глин. является «клоном кленового клона» и имеет * Maple Bootloader *
это может помочь в некотором смысле e.глин. Устранение неполадок при сравнении / устранении,
Правление, которая работает против той, которая не может и может локализовать проблему, если это так, если все это дефектным UART и т. Д

На e-bay, доска «Maple Mini», которую я купил, предварительно установлена ​​с «Maple Bootloader», я бы посоветовал попросить продавца попытаться выяснить, действительно ли это. У меня довольно дорожная доска от Olimex https: // www.Олимекс.com/products/duino/s ... E-Hardware
Это поставляется с предварительно установленным загрузчиком загрузчика, но вы можете попросить их быть уверенным, если вы покупаете

Перед «мигающим» платой и заменой загрузчика, обычно я бы пытался выяснить, есть ли способ извлечь образы загрузчика E.глин. DFU или иначе в качестве резервной копии, чтобы ее можно было переустановить, если что -то пойдет не так

AG123
Ср 29 марта 2017 г., 6:52
Эскиз установка через DFU на самом деле является 1 из крутых вещей с платами в стиле Maple / STM32, без ключа UART, без ущерба для проводов UART для пинов, просто возьмите этот USB -кабель и Flash :ржу не могу:

Пито
Ср 29 марта 2017 г. 8:15 утра
Если бы вы были заинтересованы в 32duino, я бы вам порекомендовал:
1. Всегда покупайте 2 дешевые доски (я делаю у разных продавцов)
2. инвестируйте еще 2 доллара и купите клон программиста ST-Link
3. дважды проверьте проводку и качество пайки, как предлагает Ахулл
4. Сделайте измерения - единственный способ добиться успеха в области электротехники - это вы начинаете измерять напряжения, токи, сигналы,.. и т. д.

DMD
Ср 29 марта 2017 г. 15:09
Стивестронг написал:Хорошо, так что у вас есть общая плата C8 (синяя таблетка), справа?
У вас есть ссылка, где вы купили доску?

Установите Boot1 на «0» (перемычка в исходном положении) и Boot0 на «1» (перемычка в другой, чем в исходном положении). Не меняйте эту конфигурацию!
Теперь подключите GND/PA9/PA10 к GND/TX/RX платы CP2101, подайте питание на USB (или нажмите сброс) и попробуйте загрузить.
Светодиодный свет?
Если нет, проверьте 3.Напряжение питания 3 В, возможно, встроенный регулятор дефект. Или, может быть, линии USB -соединения не припаяны правильно, так что на регуляторе напряжения не присутствует 5 В, это происходит часто.

Используйте утилиту STM32Flash из репозитория arduino_stm32!
Если он не работает, также проверяйте снова с изменением RX/TX.

DMD
Ср 29 марта 2017 г. 15:14
Эдогальдо написал:DMD написал:Эдогальдо написал:Вы уверены, что правильно установили драйверы CP2102 и выбрали соответствующий COM -порт?
Если не повезло со всеми выше попытками, есть вероятность, что ваш совет/MCU (или CP2102) дефектный..

DMD
Ср 29 марта 2017 г. 15:45
Ахулл написал:Также тщательно изучите пайку как на USB -разъема, так и на пакете STM32F103XX с увеличением. Ищите всплески припоя и плохую пайку. Мне пришлось подчеркнуть пайку на ряде этих дешевых кабанов STM32. Есть причина, по которой они дешевы. Контроль качества довольно минимально. :рулон:

DMD
Ср 29 марта 2017 г. 16:05
AG123 написал:ИМХО Попробуйте загрузить плату и посмотреть, идентично ли она подключена (включая светодиоды и кнопки) как «клон клен» и имеет предварительно установленного * Maple Bootloader * как эти «кленовые клоны»

Если это «клон-клон», попробуйте нажать сброс, и светодиод «пользователя» должен моргнуть 6 раз в быстрой последовательности (режим DFU), это также «доказательства», что загрузочный загрузчик Maple предварительно установлен и работает должным образом

Кроме того, когда вы подключаетесь к USB, вы должны увидеть 1EAF: 0003 или 1EAF: 0004, в диспетчере устройств Windows
http: // www.STM32duino.com/viewtopic.PHP ... = 10#p25542
в Linux LSUSB покажет то же самое

Если все это правда, может быть возможно установить эскиз через DFU и DFU-UTIL при условии, что стеки драйверов правильно установлены (Windows)
DFU-UTIL в основном просто работает в * linux *, и его также можно использовать извлечь заранее установленные изображения/эскиз из SOC

Я думаю, что начинается с доски, которая работает * E.глин. является «клоном кленового клона» и имеет * Maple Bootloader *
это может помочь в некотором смысле e.глин. Устранение неполадок при сравнении / устранении,
Правление, которая работает против той, которая не может и может локализовать проблему, если это так, если все это дефектным UART и т. Д

На e-bay, доска «Maple Mini», которую я купил, предварительно установлена ​​с «Maple Bootloader», я бы посоветовал попросить продавца попытаться выяснить, действительно ли это. У меня довольно дорожная доска от Olimex https: // www.Олимекс.com/products/duino/s ... E-Hardware
Это поставляется с предварительно установленным загрузчиком загрузчика, но вы можете попросить их быть уверенным, если вы покупаете

Перед «мигающим» платой и заменой загрузчика, обычно я бы пытался выяснить, есть ли способ извлечь образы загрузчика E.глин. DFU или иначе в качестве резервной копии, чтобы ее можно было переустановить, если что -то пойдет не так

DMD
Ср 29 марта 2017 г. 16:18
Пито написал:Если бы вы были заинтересованы в 32duino, я бы вам порекомендовал:
1. Всегда покупайте 2 дешевые доски (я делаю у разных продавцов)
2. инвестируйте еще 2 доллара и купите клон программиста ST-Link
3. дважды проверьте проводку и качество пайки, как предлагает Ахулл
4. Сделайте измерения - единственный способ добиться успеха в области электротехники - это вы начинаете измерять напряжения, токи, сигналы,.. и т. д.

Эдогальдо
Ср 29 марта 2017 г. 16:44
DMD написал: Между прочим, видео и пид, появляющиеся меня: это:

USB -устройство \ vid_0000&Pid_0002 \ 7&851E25A&0&3 нельзя перенести.

И...

Идентификатор экземпляра последнего устройства: USB \ VID_0A5C&PID_4500 \ 5&15C311E1&0&4

DMD
Ср 29 марта 2017 г. 16:57
Эдогальдо написал:DMD написал: Между прочим, видео и пид, появляющиеся меня: это:

USB -устройство \ vid_0000&Pid_0002 \ 7&851E25A&0&3 нельзя перенести.

И...

Идентификатор экземпляра последнего устройства: USB \ VID_0A5C&PID_4500 \ 5&15C311E1&0&4

AG123
Ср 29 марта 2017 г. 22:15
Привет DMD,

Обычно, когда устройство подключено к USB, компьютер, USB -хост -контроллер инициирует «процедуру», называемую перечислением, следовательно, вы получаете ответ Windows.
и на устройстве (я.эн. STM32F103C8T6), то, что сделало, что ответ на перечисление не за иным образом, чем приложение, установленное в Soc E.глин. «загрузочный загрузчик» или любое приложение в этом отношении. (я.эн. В STM32F103C8T6 есть приложение, однако вы упомянули, что сброс не мигает светодиоды, возможно, это не «De-Facto Maple Bootler», но какое-то другое приложение
http: // www.атмель.com/images/doc4290.PDF

Я сделал поиск в Google USB \ vid_0000&PID_0002, как обычно, это не является действительным VID/PID
пришел к некоторым результатам e.глин.
http: // www.микрочип.com/forums/m792449.aspx Да, обычно VID или PID = 0x0000 означает аппаратную проблему. Или «глубокая» проблема в прошивке. http: // www.TechSupportForum.com/форумы/ ... 63162.HTML 2 или 3 из материнских плат USB -портов пошли плохо, а остальное испортило, когда их использовали. Не было никаких проблем с этим уже некоторое время. Я собираюсь пометить это как решенное. --
У меня были некоторые проблемы, мигающие Arduino «Sketch» ​​в прошлом, и в моем STM32F103RBT6, оказывается, в моем случае у меня плохой / плохой / неисправный USB -кабель.
Я использовал «USB -кабель« невосприимчивого / не мощного », я снимаю его и переоделся на лучшую« экранированный / безрезультатный »USB -кабель, и после этого едва ли у меня есть много проблем

Вы можете получить лучший USB -кабель «Экранированный / Бриодисый», если вы подозреваете, что это может быть кабельная ошибка, которая вызывает проблемы.
всего 2 цента

AG123
Чт 30 марта 2017 г., 6:36
Классический сброс Maple Leaflabs или, скорее, «режим вечного загрузчика» (при условии, что загрузчик Maple или STM32Duino загружен) предварительно установлен) :ржу не могу:
https: // youtu.be/rvniekuxsxm
https: // youtu.be/bxmfn-bohz8

http: // docs.Leaflabs.com/static.Leaflab ... режим оадера Вечный режим загрузчика

В этом режиме Maple остается устройством DFU и не поднимается на код пользователя до следующего сброса. Это полезно для гарантирования того, что ваш клен будет доступен для перепрограммирования.

Чтобы поместить клен (или другую кленовую доску) в режим вечного загрузчика:

Подключите свою доску к USB -порту.
Нажмите кнопку сброса (это’S кнопка с надписью сброс). Обратите внимание, что ваша доска быстро мигает 6 раз, а затем медленно мигает еще несколько раз.
Нажмите снова, и на этот раз нажмите и удерживайте другую кнопку во время 6 Fast Blinks (нормальная кнопка помечена, но). Вы можете отпустить его после начала медленного моргания.

DMD
Чт 30 марта 2017 г. 18:14
AG123 написал:Привет DMD,

Обычно, когда устройство подключено к USB, компьютер, USB -хост -контроллер инициирует «процедуру», называемую перечислением, следовательно, вы получаете ответ Windows.
и на устройстве (я.эн. STM32F103C8T6), то, что сделало, что ответ на перечисление не за иным образом, чем приложение, установленное в Soc E.глин. «загрузочный загрузчик» или любое приложение в этом отношении. (я.эн. В STM32F103C8T6 есть приложение, однако вы упомянули, что сброс не мигает светодиоды, возможно, это не «De-Facto Maple Bootler», но какое-то другое приложение
http: // www.атмель.com/images/doc4290.PDF

Я сделал поиск в Google USB \ vid_0000&PID_0002, как обычно, это не является действительным VID/PID
пришел к некоторым результатам e.глин.
http: // www.микрочип.com/forums/m792449.aspx Да, обычно VID или PID = 0x0000 означает аппаратную проблему. Или «глубокая» проблема в прошивке. http: // www.TechSupportForum.com/форумы/ ... 63162.HTML 2 или 3 из материнских плат USB -портов пошли плохо, а остальное испортило, когда их использовали. Не было никаких проблем с этим уже некоторое время. Я собираюсь пометить это как решенное. --
У меня были некоторые проблемы, мигающие Arduino «Sketch» ​​в прошлом, и в моем STM32F103RBT6, оказывается, в моем случае у меня плохой / плохой / неисправный USB -кабель.
Я использовал «USB -кабель« невосприимчивого / не мощного », я снимаю его и переоделся на лучшую« экранированный / безрезультатный »USB -кабель, и после этого едва ли у меня есть много проблем

Вы можете получить лучший USB -кабель «Экранированный / Бриодисый», если вы подозреваете, что это может быть кабельная ошибка, которая вызывает проблемы.
всего 2 цента

ZMEMW16
SAT 01 апреля 2017 3:40
USB 2 и USB3 - я оказался трудным и очень, очень разочаровывающим.
Я использую пару сценариев Bash, смотрящих lsusb и /dev для предметов, представляющих интерес /dev /ttyusbn /dev /ttyacmn stlink и т. Д

Я пришел к выводу, что поставка поставки в центр имеет решающее значение, «приличный» пипс 5 В, способный к 4 ампер, кажется, работает. : D
Если бы я мог направить 2A на каждый отдельный USB -вывод, я бы.
Хорошие кабели тоже также помогают.
SRP